generator: Clarify LGPLv2 boilerplate.
authorRichard W.M. Jones <rjones@redhat.com>
Thu, 25 Feb 2010 22:14:10 +0000 (22:14 +0000)
committerRichard W.M. Jones <rjones@redhat.com>
Fri, 26 Feb 2010 11:51:05 +0000 (11:51 +0000)
generator/generator.ml

index 71c3b4f..8696dec 100755 (executable)
@@ -654,7 +654,7 @@ let generate_header ?(extra_inputs = []) comment license =
    | LGPLv2 ->
        pr "%s This library is free software; you can redistribute it and/or\n" c;
        pr "%s modify it under the terms of the GNU Lesser General Public\n" c;
-       pr "%s License as published by the Free Software Foundation; either\n" c;
+       pr "%s License as published by the Free Software Foundation;\n" c;
        pr "%s version 2.1 of the License only.\n" c;
        pr "%s\n" c;
        pr "%s This library is distributed in the hope that it will be useful,\n" c;